Proposition of a new model for memristive window function through comparative analysis

Show simple item record

dc.contributor.author Mozumder, Fardeen Hasib
dc.contributor.author Ankon, Koushik Ahmed
dc.contributor.author Hasan, Mahmudul
dc.contributor.author Nada, Ali Khalid
dc.date.accessioned 2018-10-03T06:50:05Z
dc.date.available 2018-10-03T06:50:05Z
dc.date.issued 2017-11-15
dc.identifier.citation [1] Leon O. Chua. Memristor-the missing circuit element. IEEE Transactions on Circuit Theory, 18(5):507–519, Sep 1971. [2] Leon O. Chua, Charles Desoer, and Ernest Kuh. Linear and Non Linear Circuits. McGraw-Hill Education, 1987. [3] George F. Oster and D. M. Auslander. The memristor: A new bond graph ele- ment. Journal of Dynamic Systems, Measurement, and Control, 94(3):249–252, Sep 1972. [4] George F. Oster. A note on memristors. IEEE Transactions on Circuits and Systems, 21(1):152–152, Jan 1974. [5] Leon O. Chua. Introduction to Nonlinear Network Theory. Series in Electronic Systems. McGraw-Hill, 1967. [6] Leon O. Chua. Device modeling via basic nonlinear circuit elements. IEEE Trans- actions on Circuits and Systems, 27(11):1014–1044, Nov 1980. [7] Yogesh N Joglekar and Stephen J Wolf. The elusive memristor: properties of basic electrical circuits. European Journal of Physics, 30(4):661, Jul 2009. [8] Bernard Widrow. An adaptive ’adaline’ neuron using chemical ’memistors’. Tech- nical Report 1553-2, Stanford Electronics Laboratories, Oct 1960. [9] Bernard Widrow, W. Pierce, and J. Angell. Birth, life and death in microelectronic systems. Technical Report 1552-2/1851-1, Stanford Electronics Laboratories, May 1961. Also in IRE Transactions of the Professional Group on Military Electronics, pp.191-201, Jul 1961. 65 [10] Qiangfei Xia, Matthew D. Pickett, J. Joshua Yang, Xuema Li, Wei Wu, Gilberto Medeiros-Ribeiro, and R. Stanley Williams. Two- and three-terminal resistive switches: Nanometer-scale memristors and memistors. Advanced Functional Ma- terials, 21(14):2660–2665, 2011. [11] Leon O. Chua and Sung Mo Kang. Memristive devices and systems. Proceedings of the IEEE, 64(2):209–223, Feb 1976. [12] Leon Chua, Valery Sbitnev, and Hyongsuk Kim. Hodgkin-huxley axon is made of memristors. International Journal of Bifurcation and Chaos, 22(03):1230011, 2012. [13] Dmitri B. Strukov, Gregory S. Snider, Duncan R. Stewart, and Williams R. Stan- ley. The missing memristor found. Nature, 453(7191):80–83, May 2008. [14] Akihito and Sawa. Resistive switching in transition metal oxides. Materials Today, 11(6):28 – 36, 2008. [15] Rainer Waser, Regina Dittmann, Georgi Staikov, and Kristof Szot. Redox-based resistive switching memories - nanoionic mechanisms, prospects, and challenges. Advanced Materials, 21(25-26):2632–2663, 2009. [16] Rainer Waser. Resistive non-volatile memory devices. Microelectronic Engineer- ing, 86(7-9):1925–1928, 2009. [17] Sung Hyun Jo, Kuk-Hwan Kim, Ting Chang, S. Gaba, and Wei Lu. Si memristive devices applied to memory and neuromorphic circuits. In Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), pages 13–16, Jun 2010. [18] Kyung Min Kim, Doo Seok Jeong, and Cheol Seong Hwang. Nanofilamentary resistive switching in binary oxide system: a review on the present status and outlook. Nanotechnology, 22(25):254002, 2011. [19] Yuriy V. Pershin and Massimiliano Di Ventra. Memory effects in complex mate- rials and nanoscale systems. Advances in Physics, 60(2):145–227, 2011. [20]M. Di Ventra and Y. V. Pershin. Biologically-inspired electronics with memory circuit elements. ArXiv e-prints, Dec 2011. [21] Chagaan Baatar, Wolfgang Porod, and Tamas Roska. Cellular Nanoscale Sensory Wave Computing. Springer Publishing Company, Incorporated, 1st edition, 2009. 66 [22] Omid Kavehei, A. Iqbal, Y. S. Kim, Kamran Eshraghian, Said Al-Sarawi, and Derek Abbott. The fourth element: Characteristics, modeling and electromag- netic theory of the memristor. Proceedings of the Royal Society A: Mathematical, Physical and Engineering Science, 2010. [23]T. Driscoll, J. Quinn, S. Klein, H. T. Kim, B. J. Kim, Yu. V. Pershin, M. Di Ventra, and D. N. Basov. Memristive adaptive filters. Applied Physics Letters, 97(9):093502, 2010. [24]Williams R. Stanley. How we found the missing memristor. IEEE Spectrum, 45(12):28–35, Dec 2008. [25]J. Joshua Yang, Matthew D. Pickett, Xuema Li, Douglas A. A. Ohlberg, Dun- can R. Stewart, and Williams R. Stanley. Memristive switching mechanism for metal/oxide/metal nanodevices. Nature Nanotechnology, 3(7):429–433, Jul 2008. [26]Web of knowledge. http://apps.webofknowledge.com. [27]C. Schindler, M. Weides, M. N. Kozicki, and R. Waser. Low current resistive switching in Cu-SiO2 cells. Applied Physics Letters, 92(12):122910, 2008. [28]C. Schindler, G. Staikov, and R. Waser. Electrode kinetics of Cu-SiO2-based resistive switching cells: Overcoming the voltage-time dilemma of electrochemical metallization memories. Applied Physics Letters, 94(7):072109, 2009. [29]J. Joshua Yang, John Paul Strachan, Qiangfei Xia, Douglas A. A. Ohlberg, Philip J. Kuekes, Ronald D. Kelley, William F. Stickle, Duncan R. Stewart, Gilberto Medeiros-Ribeiro, and Williams R. Stanley. Diffusion of adhesion layer metals controls nanoscale memristive switching. Advanced Materials, 22(36):4034– 4038, 2010. [30] Sung Hyun Jo and Wei Lu. CMOS compatible nanoscale nonvolatile resistance switching memory. Nano Letters, 8(2):392–397, 2008. [31] Antonio C Torrezan, John Paul Strachan, Gilberto Medeiros-Ribeiro, and R Stan- ley Williams. Sub-nanosecond switching of a tantalum oxide memristor. Nanotech- nology, 22(48):485203, 2011. [32] Sung Hyun Jo, Kuk-Hwan Kim, and Wei Lu. High-density crossbar arrays based on a Si memristive system. Nano Letters, 9(2):870–874, 2009. 67 [33] Sheng-Yu Wang, Chin-Wen Huang, Dai-Ying Lee, Tseung-Yuen Tseng, and Ting- Chang Chang. Multilevel resistive switching in Ti-CuxO-Pt memory devices. Jour- nal of Applied Physics, 108(11):114110, 2010. [34] Fabien Alibart, Ligang Gao, Brian D Hoskins, and Dmitri B Strukov. High pre- cision tuning of state for memristive devices by adaptable variation-tolerant algo- rithm. Nanotechnology, 23(7):075201, 2012. [35] Sung Hyun Jo, Ting Chang, Idongesit Ebong, Bhavitavya B. Bhadviya, Pinaki Mazumder, and Wei Lu. Nanoscale memristor device as synapse in neuromorphic systems. Nano Letters, 10(4):1297–1301, 2010. [36] Omid Kavehei, Y. S. Kim, A. Iqbal, Kamran Eshraghian, Said Al-Sarawi, and Derek Abbott. The fourth element: Insights into the memristor. In International Conference on Communications, Circuits and Systems, 2009, pages 921 –927, Jul 2009. [37] Dmitri B. Strukov, Duncan R. Stewart, Julien L. Borghetti, X. Li, Matthew D. Pickett, G.M. Ribeiro, Warren Robinett, Gregory S. Snider, John Paul Stra- chan, W. Wu, Qiangfei Xia, J. Joshua Yang, and Williams R. Stanley. Hybrid CMOS/memristor circuits. In Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), pages 1967–1970, Jun 2010. [38] George I. Bourianoff, Paolo A. Gargini, and Dmitri E. Nikonov. Research direc- tions in beyond CMOS computing. Solid-State Electronics, 51(11-12):1426 – 1431, 2007. [39] Konstantin Likharev. Electronics below 10 nm. In Nano and Giga Challenges in Microelectronics, pages 27–68. Elsevier Science B.V., Amsterdam, 2003. [40]D.J. Frank, R.H. Dennard, E. Nowak, P.M. Solomon, Y. Taur, and Hon- Sum Philip Wong. Device scaling limits of Si MOSFETs and their application dependencies. Proceedings of the IEEE, 89(3):259–288, Mar 2001. [41] Dmitri B. Strukov and Konstantin K Likharev. CMOL FPGA: a reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotech- nology, 16(6):888, 2005. [42] Gregory S. Snider and Williams R. Stanley. Nano/CMOS architectures using a field-programmable nanowire interconnect. Nanotechnology, 18(3):035204, 2007. 68 [43] Julien L. Borghetti, Zhiyong Li, Joseph Straznicky, Xuema Li, Douglas A. A. Ohlberg, Wei Wu, Duncan R. Stewart, and Williams R. Stanley. A hybrid nanomemristor/transistor logic circuit capable of self-programming. Proceedings of the National Academy of Sciences, 106(6):1699–1703, 2009. [44] Qiangfei Xia, Warren Robinett, Michael W. Cumbie, Neel Banerjee, Thomas J. Cardinali, J. Joshua Yang, Wei Wu, Xuema Li, William M. Tong, Dmitri B. Strukov, Gregory S. Snider, Gilberto Medeiros-Ribeiro, and Williams R. Stanley. Memristor-CMOS hybrid integrated circuits for reconfigurable logic. Nano Letters, 9(10):3640–3645, Oct 2009. [45] Gregory S. Snider. Self-organized computation with unreliable, memristive nan- odevices. Nanotechnology, 18(36):365202, 2007. [46] Gregory S. Snider. Spike-timing-dependent learning in memristive nanodevices. In IEEE International Symposium on Nanoscale Architectures, 2008, pages 85–92, Jun 2008. [47] Sung Hyun Jo, Kuk-Hwan Kim, and Wei Lu. Programmable resistance switching in nanoscale two-terminal devices. Nano Letters, 9(1):496–500, 2009. [48] Dmitri B. Strukov and Konstantin K. Likharev. A reconfigurable architecture for hybrid CMOS/nanodevice circuits. In Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, pages 131–140, 2006. [49] Dmitri B. Strukov and Konstantin K. Likharev. Defect-tolerant architectures for nanoelectronic crossbar memories. Journal of Nanoscience and Nanotechnology, 7(1):151–167, 2007. [50] Konstantin Likharev and Dmitri B. Strukov. Prospects for the development of digital CMOL circuits. In IEEE International Symposium on Nanoscale Architec- tures, pages 109 –116, Oct 2007. [51] Massimiliano Di Ventra, Yuriy V. Pershin, and Leon O. Chua. Putting memory into circuit elements: Memristors, memcapacitors, and meminductors. Proceedings of the IEEE, 97(8):1371–1372, Aug 2009. [52] Philip J. Kuekes, Duncan R. Stewart, and Williams R. Stanley. The crossbar latch: Logic value storage, restoration, and inversion in crossbar circuits. 97(3):034301, 2005. 69 [53]C. Kugeler, M. Meier, R. Rosezin, S. Gilles, and R. Waser. High density 3D mem- ory architecture based on the resistive switching effect. Solid-State Electronics, 53(12):1287–1292, 2009. [54] International technology roadmap for semiconductors. http://www.itrs.net/ Links/2011ITRS/Home2011.htm, 2011. [55] HP Newsroom. HP collaborates with Hynix to bring the memristor to market in next-generation memory. http://www.hp.com/hpinfo/newsroom/press/2010/ 100831c.html, Aug 2010. [56] EE Times. HP, Hynix delay memristor debut. http://www.eetimes.com/ electronics-news/4397188/HP-Hynix-memristor-debut-pushed-back, Sept 2012. [57] Dmitri B. Strukov and Williams R. Stanley. Four-dimensional address topology for circuits with stacked multilayer crossbar arrays. Proceedings of the National Academy of Sciences, 106(48):20155–20158, 2009. [58] Yao-Feng Chang, Ting-Chang Chang, and Chun-Yen Chang. Investigation statis- tics of bipolar multilevel memristive mechanism and characterizations in a thin FeOx transition layer of TiN-SiO2-FeOx-Fe structure. Journal of Applied Physics, 110(5):053703, 2011. [59]A. Beck, J. G. Bednorz, Ch. Gerber, C. Rossel, and D. Widmer. Reproducible switching effect in thin oxide films for memory applications. Applied Physics Letters, 77(1):139–141, 2000. [60] Hyongsuk Kim, Maheshwar Pd. Sah, Changju Yang, and Leon O. Chua. Memristor-based multilevel memory. In 12th International Workshop on Cellular Nanoscale Networks and Their Applications, pages 1–6, Feb 2010. [61] Julien L. Borghetti, Gregory S. Snider, Philip J. Kuekes, J. Joshua Yang, Dun- can R. Stewart, and Williams R. Stanley. ’Memristive’ switches enable ’stateful’ logic operations via material implication. Nature, 464(7290):873–876, May 2010. [62] Gregory S. Snider. Computing with hysteretic resistor crossbars. Applied Physics A: Materials Science & Processing, 80:1165–1172, 2005. 10.1007/s00339-004-3149- 1. 70 [63] Garrett S. Rose and Mircea R. Stan. A programmable majority logic array using molecular scale electronics. IEEE Transactions on Circuits and Systems I: Regular Papers, 54(11):2380–2390, Nov 2007. [64] Jeyavijayan Rajendran, Harika Manem, and Garrett S. Rose. NDR based thresh- old logic fabric with memristive synapses. In 9th IEEE Conference on Nanotech- nology, pages 725–728, Jul 2009. [65] Eero Lehtonen and Mika Laiho. Stateful implication logic with memristors. In IEEE/ACM International Symposium on Nanoscale Architectures, pages 33–36, Jul 2009. [66] Eero Lehtonen, J.H. Poikonen, and Mika Laiho. Two memristors suffice to com- pute all boolean functions. Electronics Letters, 46(3):239–240, 4 2010. [67] Pascal O. Vontobel, Warren Robinett, Philip J. Kuekes, Duncan R. Stewart, Joseph Straznicky, and Williams R. Stanley. Writing to and reading from a nano- scale crossbar memory based on memristors. Nanotechnology, 20(42), Oct 2009. [68] Konstantin Likharev and Dmitri B. Strukov. CMOL: Devices, circuits, and ar- chitectures. In Introducing Molecular Electronics, volume 680 of Lecture Notes in Physics, pages 447–477. Springer Berlin / Heidelberg, 2005. [69] Andre DeHon and Konstantin K. Likharev. Hybrid CMOS/nanoelectronic digital circuits: devices, architectures, and design automation. In IEEE/ACM Interna- tional Conference on Computer-Aided Design, pages 375–382, Nov 2005. [70] Andre DeHon. Nanowire-based programmable architectures. J. Emerg. Technol. Comput. Syst., 1:109–162, Jul 2005. [71] Wei Wang, Tom T. Jing, and Brian Butcher. FPGA based on integration of mem- ristors and CMOS devices. In Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pages 1963–1966, Jun 2010. [72] Chen Dong, Deming Chen, S. Haruehanroengra, and Wei Wang. 3D nFPGA: A reconfigurable architecture for 3D CMOS/nanomaterial hybrid digital circuits. IEEE Transactions on Circuits and Systems I: Regular Papers, 54(11):2489–2501, Nov 2007. 71 [73]D. Tu, M. Liu, Wei Wang, and S. Haruehanroengra. Three-dimensional CMOL: Three-dimensional integration of CMOS/nanomaterial hybrid digital circuits. IET Micro Nano Letters, 2(2):40–45, Jun 2007. [74] Dmitri B. Strukov and A. Mishchenko. Monolithically stackable hybrid FPGA. In Design, Automation Test in Europe Conference Exhibition, pages 661–666, Mar 2010. [75] Bernabe Linares-Barranco, Teresa Serrano-Gotarredona, Luis A. Camunas-Mesa, Jose A. Perez-Carrasco, Carlos Zamarreno-Ramos, and Timothee Masquelier. On spike-timing-dependent-plasticity, memristive devices, and building a self-learning visual cortex. Frontiers in Neuroscience, 5(0), 2011. [76] Hyejung Choi, Heesoo Jung, Joonmyoung Lee, Jaesik Yoon, Jubong Park, Dong jun Seong, Wootae Lee, Musarrat Hasan, Gun-Young Jung, and Hyunsang Hwang. An electrically modifiable synapse array of resistive switching memory. Nanotech- nology, 20(34):345201, 2009. [77] Ting Chang, Sung-Hyun Jo, Kuk-Hwan Kim, Patrick Sheridan, Siddharth Gaba, and Wei Lu. Synaptic behaviors and modeling of a metal oxide memristive de- vice. Applied Physics A: Materials Science & Processing, 102:857–863, 2011. 10.1007/s00339-011-6296-1. [78] Yuriy V. Pershin and Massimiliano Di Ventra. Experimental demonstration of associative memory with memristive neural networks. Neural Networks, 23(7):881– 886, 2010. [79] Bernabe Linares-Barranco and Teresa Serrano-Gotarredona. Exploiting memris- tance in adaptive asynchronous spiking neuromorphic nanotechnology systems. In 9th IEEE Conference on Nanotechnology, pages 601–604, Jul 2009. [80] Bernabe Linares-Barranco and Teresa Serrano-Gotarredona. Memristance can explain spike-time-dependent-plasticity in neural synapses. Nature Precedings, Mar 2009. [81] Jose A. Perez-Carrasco, Carlos Zamarreno-Ramos, Teresa Serrano-Gotarredona, and Bernabe Linares-Barranco. On neuromorphic spiking architectures for asyn- chronous STDP memristive systems. In Proceedings of IEEE International Sym- posium on Circuits and Systems, pages 1659–1662, May 2010. 72 [82]I.E. Ebong and P. Mazumder. CMOS and memristor-based neural network design for position detection. Proceedings of the IEEE, 100(6):2050–2060, Jun 2012. [83] Yuriy V. Pershin, Steven La Fontaine, and Massimiliano Di Ventra. Memristive model of amoeba learning. Physical Review E, 80(2):021926, Aug 2009. [84] Yuriy Pershin and Massimiliano Di Ventra. Solving mazes with memristors: a massively-parallel approach. 2011. [85] Yuriy V. Pershin and Massimiliano Di Ventra. Practical approach to pro- grammable analog circuits with memristors. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(8):1857–1864, Aug 2010. [86] Sangho Shin, Kyungmin Kim, and Sung-Mo Kang. Memristor applications for programmable analog ICs. IEEE Transactions on Nanotechnology, 10(2):266–274, Mar 2011. [87] Sangho Shin, Kyungmin Kim, and Sung-Mo Kang. Memristor-based fine resolu- tion programmable resistance and its applications. In International Conference on Communications, Circuits and Systems, pages 948–951, Jul 2009. [88]K. Witrisal. A memristor-based multicarrier UWB receiver. In IEEE International Conference on Ultra-Wideband, pages 679–683, Sep 2009. [89]K. Witrisal. Memristor-based stored-reference receiver - the UWB solution? Elec- tronics Letters, 45(14):713–714, 2 2009. [90] Gaurav Gandhi David Varghese. Memristor based high linear range differential pair. In International Conference on Communications, Circuits and Systems, pages 935–938, Jul 2009. [91] Eero Lehtonen, Mika Laiho, and J.H. Poikonen. A chaotic memristor circuit. In 12th International Workshop on Cellular Nanoscale Networks and Their Applica- tions, pages 1–3, Feb 2010. [92]F. Corinto, A. Ascoli, and Marco Gilli. Nonlinear dynamics of memristor oscilla- tors. IEEE Transactions on Circuits and Systems I: Regular Papers, 58(6):1323– 1336, Jun 2011. [93]T. Driscoll, Y. Pershin, D. Basov, and M. Di Ventra. Chaotic memristor. Applied Physics A: Materials Science & Processing, 102:885–889, 2011. 73 [94] Makoto Itoh and Leon O. Chua. Memristor oscillators. International Journal of Bifurcation and Chaos, 18(11):3183–3206, 2008. [95] Bharathwaj Muthuswamy and Pracheta. Kokate. Memristor-based chaotic cir- cuits. IETE Technical Review, 26(6):417–429, 2009. [96]B.C. Bao, Z. Liu, and J.P. Xu. Steady periodic memristor oscillator with transient chaotic behaviours. Electronics Letters, 46(3):237–238, 4 2010. [97] Zhao hui Lin and Hong xia Wang. Image encryption based on chaos with PWL memristor in chua’s circuit. In International Conference on Communications, Circuits and Systems, Jul 2009. [98] Makoto Itoh and Leon O. Chua. Memristor cellular automata and memristor discrete-time cellular neural networks. International Journal of Bifurcation and Chaos, 19(11):3605–3656, Nov 2009. [99] Mika Laiho and Eero Lehtonen. Cellular nanoscale network cell with memristors for local implication logic and synapses. In Proceedings of IEEE International Symposium on Circuits and Systems, pages 2051–2054, Jun 2010. [100] Eero Lehtonen and Mika Laiho. CNN using memristors for neighborhood connec- tions. In 12th International Workshop on Cellular Nanoscale Networks and Their Applications, pages 1–4, Feb 2010. [101] Ilia Valov, Rainer Waser, John R Jameson, and Michael N Kozicki. Electrochem- ical metallization memories-fundamentals, applications, prospects. Nanotechnol- ogy, 22(25):254003, 2011. [102] John Paul Strachan, Dmitri B. Strukov, Julien L. Borghetti, J. Joshua Yang, Gilberto Medeiros-Ribeiro, and Williams R. Stanley. The switching location of a bipolar memristor: chemical, thermal and structural mapping. Nanotechnology, 22(25):254015, 2011. [103] Jubong Park, Seungjae Jung, Joonmyoung Lee, Wootae Lee, Seonghyun Kim, Jungho Shin, and Hyunsang Hwang. Resistive switching characteristics of ultra- thin TiOx. Microelectronic Engineering, 88(7):1136–1139, 2011. [104] Myoung-Jae Lee, Chang B. Lee, Dongsoo Lee, Seung R. Lee, Man Chang, Ji H. Hur, Young-Bae Kim, Chang-Jung Kim, David H. Seo, Sunae Seo, U-In Chung, In-Kyeong Yoo, and Kinam Kim. A fast, high-endurance and scalable non-volatile 74 memory device made from asymmetric Ta2O5−x/TaO2−x bilayer structures. Na- ture Materials, 10:625–630, Jul 2011. [105] Adnan Mehonic, Sebastien Cueff, Maciej Wojdak, Stephen Hudziak, Olivier Jam- bois, Christophe Labbe, Blas Garrido, Richard Rizk, and Anthony J. Kenyon. Resistive switching in silicon suboxide films. Journal of Applied Physics, 111(7):074507, 2012. [106]T. Driscoll, H.-T. Kim, B.-G. Chae, Massimiliano Di Ventra, and D. N. Basov. Phase-transition driven memristive system. 95(4):043503, 2009. [107]S L Johnson, A Sundararajan, D P Hunley, and D R Strachan. Memristive switching of single-component metallic nanowires. Nanotechnology, 21(12):125204, 2010. [108] Yiran Chen, Hai Li, and Xiaobin Wang. Spintronic devices: From memory to memristor. In International Conference on Communications, Circuits and Sys- tems, pages 811–816, Jul 2010. [109] Xiaobin Wang and Yiran Chen. Spintronic memristor devices and application. In Design, Automation Test in Europe Conference Exhibition (DATE), 2010, pages 667–672, Mar 2010. [110] Xiaobin Wang, Yiran Chen, Haiwen Xi, Hai Li, and D. Dimitrov. Spintronic memristor through spin-torque-induced magnetization motion. Electron Device Letters, IEEE, 30(3):294–297, march 2009. [111] Yuriy V. Pershin and Massimiliano Di Ventra. Spin memristive systems: Spin memory effects in semiconductor spintronics. Phys. Rev. B, 78:113309, Sep 2008. [112] Tae Hee Kim, Eun Young Jang, Nyun Jong Lee, Deung Jang Choi, Kyung-Jin Lee, Jung tak Jang, Jin sil Choi, Seung Ho Moon, and Jinwoo Cheon. Nanoparticle assemblies as memristors. Nano Letters, 9(6):2229–2233, 2009. [113] John Paul Strachan, J. Joshua Yang, Ruth Munstermann, Andreas Scholl, Gilberto Medeiros-Ribeiro, Duncan R. Stewart, and Williams R. Stanley. Struc- tural and chemical characterization of TiO2 memristive devices by spatially- resolved NEXAFS. Nanotechnology, 20(48):485701, 2009. 75 [114] Deok-Hwang Kwon, Kyung Min Kim, Jae Hyuck Jang, Jong Myeong Jeon, Min Hwan Lee, Gun Hwan Kim, Xiang-Shu Li, Gyeong-Su Park, Bora Lee, Se- ungwu Han, Miyoung Kim, and Cheol Seong Hwang. Atomic structure of con- ducting nanofilaments in TiO2 resistive switching memory. Nat Nano, 5:148 –153, 2010. [115] John Paul Strachan, Matthew D. Pickett, J. Joshua Yang, Shaul Aloni, A. L. David Kilcoyne, Gilberto Medeiros-Ribeiro, and Williams R. Stanley. Direct iden- tification of the conducting channels in a functioning memristive device. Advanced Materials, 22(32):3573–3577, 2010. [116] Dmitri B. Strukov, Julien L. Borghetti, and Williams R. Stanley. Coupled ionic and electronic transport model of thin-film semiconductor memristive behavior. Small, 5(9):1058–1063, May 2009. [117] Dmitri B. Strukov and Williams R. Stanley. Exponential ionic drift: fast switching and low volatility of thin-film memristors. Applied Physics A: Materials Science & Processing, 94(3):515–519, Mar 2009. [118] Shimeng Yu, Jiale Liang, Yi Wu, and H-S Philip Wong. Read-write schemes analysis for novel complementary resistive switches in passive crossbar memory arrays. Nanotechnology, 21(46):465202, 2010. [119] Shimeng Yu and H.-S.P. Wong. Modeling the switching dynamics of programmable-metallization-cell (PMC) memory and its application as synapse device for a neuromorphic computation system. In IEEE International Electron Devices Meeting, pages 22.1.1–22.1.4, Dec 2010. [120] Omid Kavehei, Said Al-Sarawi, Kyoung-Rok Cho, Kamran Eshraghian, and Derek Abbott. An analytical approach for memristive nanoarchitectures. ArXiv e-prints, Jun 2011. [121] Zdenek Biolek, Dalibor Biolek, and Viera Biolkova. SPICE model of memristor with nonlinear dopant drift. Radioengineering, 18(2):210–214, Jun 2009. [122] Themis Prodromakis, Boon Pin Peh, Christos Papavassiliou, and Chris Toumazou. A versatile memristor model with nonlinear dopant kinetics. IEEE Transactions on Electron Devices, 58(9):3099–3105, Sep 2011. [123]S. Benderli and T.A. Wey. On SPICE macromodelling of TiO 2 memristors. Elec- tronics Letters, 45(7):377–379, 2009. 76 [124] Matthew D. Pickett, Dmitri B. Strukov, Julien L. Borghetti, J. Joshua Yang, Gre- gory S. Snider, Duncan R. Stewart, and Williams R. Stanley. Switching dynamics in titanium dioxide memristive devices. Journal of Applied Physics, 106(7), Oct 2009. [125] Sangho Shin, Kyungmin Kim, and Sung-Mo Kang. Compact models for mem- ristors based on charge-flux constitutive relationships. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(4):590–598, Apr 2010. [126]K. Eshraghian, O. Kavehei, Kyoung-Rok Cho, J.M. Chappell, A. Iqbal, S.F. Al- Sarawi, and D. Abbott. Memristive device fundamentals and modeling: Appli- cations to circuits and systems simulation. Proceedings of the IEEE, 100(6):1991 –2007, Jun 2012. [127] Andrei D. Polyanin and Valentin F. Zaitsev. Handbook of exact solutions for ordinary differential equations. Chapman & Hall/CRC, 2nd edition, 2003. [128] Robert Spence. Linear active networks. Wiley-Interscience, 1970. [129] Wai-Kai Chen and Run-Sheng Liang. A general n-port network reciprocity theo- rem. IEEE Transactions on Education, 33(4):360 –362, Nov 1990. [130] Leon O. Chua. Resistance switching memories are memristors. Applied Physics A: Materials Science & Processing, 102:765–783, 2011. [131] Tom M. Apostol. Mathematical analysis. Addison-Wesley Series in Mathematics. Addison-Wesley Pub. Co., 1st edition, 1957. [132] Themistoklis Prodromakis, Christofer Toumazou, and Leon O. Chua. Two cen- turies of memristors. Nature Materials, 11(6):478–481, Jun 2012. [133] Kamran Eshraghian, Kyoung-Rok Cho, Omid Kavehei, Soon-Ku Kang, Derek Abbott, and Sung-Mo Steve Kang. Memristor MOS content addressable mem- ory (MCAM): Hybrid architecture for future high performance search engines. IEEE Transactions on Very Large Scale Integration Systems, 19(8):1407–1417, Aug 2011. [134] Singiresu S. Rao. Engineering Optimization: Theory and Practice. John Wiley and Sons, 2009. 77 [135] Leon O. Chua and Charles A. Desoer. Linear and Non Linear Circuits. McGraw- Hill Education, 1987. [136] Emmanuel M. Drakakis. The bernoulli cell: A transistor-level approach for log- domain filters. PhD Thesis, Imperial College London, Feb 2000. [137] Emmanuel M. Drakakis, S.N. Yaliraki, and M. Barahona. Memristors and bernoulli dynamics. In Proceeedings of the IEEE 12th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA), pages 1–6, feb. 2010. [138] Paul F Byrd and Morris D Friedman. Handbook of Elliptic Integrals for Engineers and Scientists. Springer-Verlag, 2nd edition, 1971. [139]I.S. Gradshteyn and I.M. Ryzhik. Table of Integrals, Series, and Products. Elsevier Academic Press, 7th edition, 2007. [140]Raymond A. DeCarlo and Pen-Min Lin. Linear Circuit Analysis: Time Domain, Phasor, and Laplace Transform Approaches. The Oxford Series in Electrical and Computer Engineering Series. Oxford University Press, 2001. [141]A.V. Oppenheim, A.S. Willsky, and S.H. Nawab. Signals and systems. Prentice- Hall signal processing series. Prentice Hall, 1997. [142]B.P. Lathi and Zhi Ding. Modern digital and analog communication systems. The Oxford series in electrical and computer engineering. Oxford University Press, 1998. [143]Francisco C. De La Rosa. Harmonics and power systems. The Electric Power Engineering Series. CRC/Taylor & Francis, 2006. [144]P.R. Gray. Analysis and Design of Analog Integrated Circuits. Analysis and Design of Analog Integrated Circuits. John Wiley & Sons, 2009. [145] Paul Penfield, Robert Spence, and Simon Duinker. Tellegen’s theorem and elec- trical networks. M.I.T. Press research monographs. MIT Press, 1970. [146] Paul Penfield, Robert Spence, and Simon Duinker. A generalized form of Telle- gen’s theorem. IEEE Transactions on Circuit Theory, 17(3):302–305, Aug 1970. [147] Marianela Carrillo and Jose M Gonzalez. A new approach to modelling sigmoidal curves. Technological Forecasting and Social Change, 69(3):233 – 241, 2002. 78 [148] Perrin Meyer and Jesse H. Ausubel. Carrying capacity: A model with logistically varying limits. Technological Forecasting and Social Change, 61(3):209 – 214, 1999. [149] Subhash C. Bhargava, Raj K. Bhargava, and Ashok Jain. Requirement of dimen- sional consistency in model equations: Diffusion models incorporating price and their applications. Technological Forecasting and Social Change, 41(2):177 – 188, 1992. [150] Dipak C. Jain and Ram C. Rao. Effect of price on the demand for durables: Modeling, estimation, and findings. Journal of Business and Economic Statistics, 8(2):163–170, 1990. [151]E. Miranda, D. Jimenez, and J. Sune. Progressive breakdown dynamics and entropy production in ultrathin SiO2 gate oxides. Applied Physics Letters, 98(25):253504, 2011. [152] John A. Drakopoulos. Sigmoidal theory. Fuzzy Sets and Systems, 76(3):349 – 363, 1995. [153] Miljenko Marusic. Mathematical models of tumor growth. 1996. [154]D.A. Ratkowsky. Nonlinear Regression Modeling: A Unified Practical Approach. Statistics, textbooks and monographs. Marcel Dekker, 1986. [155] Jr. Turner, Malcolm E., Edwin L. Bradley, Katherine A. Kirk, and Kenneth M. Pruitt. A theory of growth. Mathematical Biosciences, 29(3-4):367 – 373, 1976. [156] Boris Zeide. Analysis of growth equations. Forest Science, 39(3):594–616, 1993. [157] Desta Fekedulegn, Mairitin P. Mac Siurtain, and Jim J. Colbert. Parameter estimation of nonlinear growth models in forestry. Silva Fennica, 4(33):327–336, Nov 1999. [158]A. Tsoularis and J. Wallace. Analysis of logistic growth models. Mathematical Biosciences, 179(1):21 – 55, 2002. [159]Roger Buis. On the generalization of the logistic law of growth. Acta Biotheoretica, 39:185–195, 1991. [160]M. Abramowitz and I.A. Stegun. Handbook of Mathematical Functions: With Formulas, Graphs, and Mathematical Tables. Applied mathematics series. Dover Publications, 1964. 79 [161]W. Cai, F. Ellinger, R. Tetzlaff, and T. Schmidt. Abel dynamics of titanium dioxide memristor based on nonlinear ionic drift model. arXiv, May 2011. [162] Patryk Krzysteczko, Gunter Reiss, and Andy Thomas. Memristive switching of MgO based magnetic tunnel junctions. 95(11):112508, 2009. [163] Behzad Razavi. Design of Analog CMOS Integrated Circuits. McGraw-Hill series in electrical and computer engineering. McGraw-Hill Education, 2000. [164] Jan Mulder. Static and Dynamic Translinear Circuits. Delft University Press, 1998. [165]S. Vongehr. The missing memristor: Novel nanotechnology or rather new case study for the philosophy and sociology of science? ArXiv e-prints, Feb 2012. [166]G. I. Meijer. Who wins the nonvolatile memory race? Science, 319(5870):1625– 1626, 2008. [167] Massimiliano Di Ventra, Yuriy V. Pershin, and Leon O. Chua. Circuit elements with memory: Memristors, memcapacitors, and meminductors. Proceedings of the IEEE, 97(10):1717–1724, Oct 2009. [168] Ricardo Riaza. First order devices, hybrid memristors, and the frontiers of non- linear circuit theory. ArXiv e-prints, Oct 2010. en_US
dc.identifier.uri http://hdl.handle.net/123456789/274
dc.description.abstract This work presents a set of mathematical tools for the analysis and modelling of mem- ristor devices. The mathematical framework takes advantage of the compliance of the memristor’s output dynamics with the family of Bernoulli differential equations which can always be linearised under an appropriate transformation. Based on this property, a set of conditionally solvable general solutions are defined for obtaining analytically the output for all possible types of ideal memristors. To demonstrate its usefulness, the framework is applied on HP’s memristor model for obtaining analytical expressions describing its output for a set of different input signals. It is shown that the output expressions can lead to the identification of a parameter which represents the collective effect of all the model’s parameters on the nonlinearity of the memristor’s response. The corresponding conclusions are presented for series and parallel networks of memristors as well. The analytic output expressions enable also the study of several device proper- ties of memristors. In particular, the hysteresis of the current-voltage response and the harmonic distortion introduced by the device are investigated and both interlinked with the nonlinearity of the system. Moreover, the reciprocity principle, a property form classical circuit theory, is shown to hold for ideal memristors under specific conditions. Based on the insights gained through the analysis of the ideal element, this work takes a step further into the modelling of memristive devices in an effort to improve some of the macroscopic models currently used. In particular, a method is proposed for extracting the window function directly from experimentally acquired input-output measurements. The method is based on a simple mathematical transformation which relates window to sigmoidal functions and a set of assumptions which allow the mapping of the sigmoidal to current-voltage measurements. The equivalence between the two representations is demonstrated through a new generalised window function and several existing sig- moidals and windows. The proposed method is applied on three sets of experimental measurements which demonstrate the usefulness of the window modelling approach and the newly proposed window function. Based on this method the extracted windows are tailored to the device under investigation. The analysis also reveals a set of non- idealities which lead to the introduction of a new model for memristive devices whose response cannot be captured by the window-based approach. en_US
dc.language.iso en en_US
dc.publisher Department of Electrical and Electronic Engineering, Islamic University of Technology en_US
dc.title Proposition of a new model for memristive window function through comparative analysis en_US
dc.type Thesis en_US


Files in this item

This item appears in the following Collection(s)

Show simple item record

Search IUT Repository


Advanced Search

Browse

My Account

Statistics